Skip to content

Commit 1041d08

Browse files
Merge pull request #23 from siliconcompiler/feature-docs
[Docs] Updated Syntax Docs Based on Feedback
2 parents 4274132 + 3f0a256 commit 1041d08

File tree

1 file changed

+0
-4
lines changed

1 file changed

+0
-4
lines changed

docs/syntax/README.md

Lines changed: 0 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -17,7 +17,6 @@ create_clock -period <float>
1717
```
1818
create_generated_clock (-name <string>)?
1919
-source <pin>
20-
(-master_clock <clock>)?
2120
(-divide_by <float>)?
2221
(-multiply_by <float>)?
2322
(-add)?
@@ -48,7 +47,6 @@ set_false_path (-setup)?
4847
(-fall)?
4948
(-from <from_list>)?
5049
(-to <to_list>)?
51-
(-through <through_list>)?
5250
```
5351

5452
Note: There are some extra to discuss.
@@ -60,7 +58,6 @@ set_max_delay (-rise)?
6058
(-fall)?
6159
(-from <from_list>)?
6260
(-to <to_list>)?
63-
(-through <through_list>)?
6461
<delay: float>
6562
```
6663

@@ -71,7 +68,6 @@ set_min_delay (-rise)?
7168
(-fall)?
7269
(-from <from_list>)?
7370
(-to <to_list>)?
74-
(-through <through_list>)?
7571
<delay: float>
7672
```
7773

0 commit comments

Comments
 (0)